NAKANO and TANEMURA Laboratory, Dept. of Electrical Engineering

Current Research Projects 2005

1. 次世代半導体レーザ・光能動機能素子
Next Generation Semiconductor Lasers and Active Optical Devices with Advanced Functions
中野義昭・杉山正和・清水大雅・宋学良・池田健志・王書栄・土谷正彦・梁吉鎬・千野根崇子・S.T. キム・J. ダルジャ・雨宮智宏
Y. NAKANO, M. SUGIYAMA, H. SHIMIZU, X.-L. SONG, K. IKEDA, S.-R. WANG, M. TSUCHIYA, J.-H. LIANG, T. CHINONE, S.-T. KIM, J.DARJA, and T. AMEMIYA

 次世代光通信・光情報処理を担う小型高性能光源の開発を目的に,以下の研究を行っている.これまで世界に先駆けて行ってきた利得結合(GC)分布帰還型(DFB)半導体レーザに関し,最近は特に,マストランスポートにより形成されるV溝InP基板上のInAsP圧縮歪み量子細線を,活性層および利得結合回折格子に適用せんとしている.また,異波長GC DFBレーザを縦続接続・集積化した構成の光短パルス発生器に関し理論解析を行い,良好な特性が予測されたことから,試作を開始した.一方,磁性金属と半導体光アンプから形成される集積化可能な導波路型光アイソレータの提案と試作実証を進めており,2004年度は14.7dB/mmにおよぶ非相反光伝搬実験に世界で初めて成功した.これらと並行して,光インタコネクト向きの低閾値・クーラーレス半導体レーザの研究,レーザトライオードの研究,窒化物材料による可視域高出力端面発光素子の研究,などを行っている.

For the purpose of developing compact and high-performance light sources for next generation optical communication and optical information processing applications, we are pursuing the following research subjects. Concerning the gain-coupled (GC) distributed feedback (DFB) semiconductor laser that we have been pioneering, we are recently trying to apply compressively-strained InAsP quantum wires formed by mass transport on V-grooved InP substrates to the active region as well as to the gain grating. We also conducted theoretical analysis on an optical short-pulse generator composed of two GC DFB lasers cascaded and integrated, whose result turned out to be very promising. Therefore, we have recently started to fabricate the pulse generator of this type. Concurrently, proposal and fabrication of an integratable waveguide optical isolator consisting of magnetic metal and a semiconductor optical amplifier are being carried out, to result in optical non-reciprocal propagation demonstration in 2004 reaching 14.7dB/mm for the first time in the world. Besides these, we are researching on low-threshold cooler-less semiconductor lasers and laser triodes for optical interconnect applications, and high-power visible-wavelength edge emission devices with nitride semiconductors.

2.高度量子マイクロ構造に基づく光変調器/光スイッチと光制御デバイス
Optical Modulators/Switches and Light-Controlling Devices Based on Advanced Quantum Microstructures
中野義昭・杉山正和・清水大雅・C. カントゥーンキッティクル・周小平・任田玲子・大谷洋・大江英輝・S. イブラヒム
Y. NAKANO, M. SUGIYAMA, H. SHIMIZU, C. KUMTORNKITTIKUL, X.-P. ZHAO, R. TOHDA, H. OTANI, H. OHE, and S. IBRAHIM

 半導体量子井戸/超格子構造のポテンシャル形状を人工的に原子層オーダで制御すると,本来半導体の持っていた光物性を大幅に改変することができる.本研究では,そのような人工光物性を利用して,半導体光変調器/光スイッチや光制御デバイスの性能革新を行うことを目的としている.特に,吸収端から離れた透明波長域においても大きな電界屈折率変化の得られる3重結合量子井戸構造を新たに考案し,InGaAlAs/InP系材料による実証実験を進めている.また,EA変調器を光非線型媒質として用いる新しい全光波長変換器/全光スイッチを提案し,マッハツェンダー干渉計(MZI)型素子の試作開発を進めている.一方,将来の超高速全光スイッチに向けて,窒化物ヘテロ構造におけるサブバンド間遷移(ISBT)の研究を行っており,有機金属気相エピタキシャル成長(MOCVD)によるAlN/GaN多重量子井戸構造におけるISBT吸収世界最短波長記録を更新した.また,5に述べる誘導結合プラズマエッチング技術を適用し, GaN/AlN多重量子井戸ハイメサ導波路を試作して,良好な1.55μm帯の光導波を確認した.

By artificial manipulation of potential profiles in semiconductor quantum well and super lattice structures with accuracy of atomic order, one may significantly alter inherent optical properties of semiconductors. The purpose of this research is to bring about innovation in semiconductor optical modulators/switches and light-controlling devices by making use of such artificial optical properties. More specifically, a special triple coupled quantum well structure is investigated based on InGaAlAs/InP materials for large electro-refraction in transparent wavelength range apart from the absorption edge. We have also proposed new all-optical wavelength conversion/all-optical switching concept using electro-absorption (EA) modulators as optical nonlinear media, and are developing Mach-Zehnder interferometer (MZI) type devices. Besides these activities, we are conducting research on intersubband transition (ISBT) in nitride heterostructures for future ultrafast all-optical switches, and have renewed our record on the shortest ISBT absorption wavelength in AlN/GaN multiple quantum well structures by metal-organic vapor phase epitaxy (MOCVD). Moreover, by applying the inductively-coupled plasma (ICP) etching technology described in 5, GaN/AlN multiple quantum well high-mesa waveguides have been fabricated, where excellent light transmission at 1.55μm wavelength band has been confirmed.

3.半導体モノリシック光集積回路とフォトニックネットワーキング
Monolithically-Integrated Semiconductor Photonic Circuits and Photonic Networking
中野義昭・杉山正和・清水大雅・竹中充・M. レイバーン・A. アルアミン・H. ビンスマ・広井典良・C.W. ソン・清水克宏・F.C. イット・堀口勝正・M.J. チャン・武田浩司
Y. NAKANO, M. SUGIYAMA, H. SHIMIZU, M. TAKENAKA, M. RABURN, A. AL AMIN, H. BINSMA, N. HIROI, C.-W. SON, K. SHIMIZU, F.-C. YIT, K. HORIGUCHI, M. J. CHAN, and K. TAKEDA

 モノリシック集積された光デバイス/回路では,単体素子では得られない多くの機能や高い性能が実現され得るため,高度な光通信,光情報処理・記録,光計測を行う際の切り札として期待されている.本課題では,新しいモノリシック光集積デバイスの試作・開発を行うとともに,能動素子/受動素子一括集積技術を研究している.近年は特に,多モード干渉(MMI)カプラと半導体光アンプを集積化したMZI型全光スイッチ集積回路の設計と試作に取り組み,MOCVD選択成長による同光回路を世界で初めて実現した.選択成長によるアレイ導波路格子(AWG)と能動素子の集積化も試みている.このような光集積回路で増大する入出力光ポートと光ファイバアレイを一括で接続するOPLEAF技術の研究を開始した.光集積回路の超小型化に向けて,金属光配線の研究も活発化している.並行して,光回路でデジタル処理を可能にする全光フリップフロップの研究が進展してきており,方向性結合器型,MMI型,を提案・試作するとともに,その多段接続に向けた集積反射鏡構造素子の試作を行っている.一方,光集積回路の重要な応用であるフォトニックネットワークについて,ノード装置サブシステムの設計と実証に関する検討を行っている.

Monolithically-integrated semiconductor optical devices and circuits are the key to advanced optical communication, optical information processing, and optical measurement applications since they could provide more complex functions and higher performances over discrete devices. In this work, we are studying novel monolithically-integrated photonic devices as well as the processing technology for monolithic active/passive integration. Over the past years, we tackled design and fabrication of an MZI all-optical switch circuit integrating multi-mode interference (MMI) couplers and semiconductor optical amplifiers (SOAs), and successfully realized the circuit by the selective-area MOCVD for the first time. The research is deployed toward integration of arrayed-waveguide gratings (AWGs) and active devices by the selective area growth. We started research on OPLEAF concept that enables single-step connection of an optical fiber array with input/output optical ports which are ever increasing in number in the current photonic integrated circuits. The study on metal optical wiring has become active recently toward ultra-compact photonic circuits. At the same time, the research on all-optical flip-flop, that is one essential element for photonic digital processing, has made a significant progress; two types of the devices, namely, directional coupler type and MMI type, have been proposed and fabricated. A new device structure with integrated Bragg mirrors for incorporating cascadability is now being fabricated. Concurrently, design and demonstration of optical switching node subsystem is studied for photonic networking, which is one important application area of the photonic integrated circuits.

4.InGaAsP系化合物半導体面積選択気相成長(SA-MOCVD)プロセスのCADツール開発
Development of the CAD-tool for the selective-area MOCVD process of InGaAsP
杉山 正和・中野 義昭・霜垣 幸浩・塩田 倫也
M. SUGIYAMA, Y. NAKANO, Y. SHIMOGAKI, and T. SHIODA

 InGaAsP系4元混晶の面積選択MOCVDプロセスは,赤外波長帯用半導体光通信デバイスのモノリシック集積化に不可欠な技術である.本プロセスにおいては,基板表面に形成するSiO2マスクの形状を変化させることにより,マスク近傍に形成される多重量子井戸構造のバンドギャップを基板面内で局所的に変調することができる.デバイスの集積度が上がるとマスク間の干渉が顕著になるため,マスクパターンを設計するためのシミュレーションツールが必須となる.選択成長におけるマスクの効果は,製膜種の気相拡散係数と表面取り込み係数の比で決定される成長速度の増大および組成の変化による.マスク効果のシミュレーションに必要な速度パラメータは,本グループによるこれまでの実験により求められている.このモデルを数値シミュレーションに実装し,成長速度および組成を求めるとともに,量子井戸構造における格子ひずみを考慮して量子準位を計算した.これにより,マスク幅の増大に伴うMQWからのフォトルミネッセンス波長シフトをシミュレーションした結果,実測値を高精度に再現することに成功した.今後,マスク近傍に見られるファセット成長の効果をシミュレーションに取り込んで予測精度を高め,マルチバンドギャップデバイスの設計に役立てる予定である.

The selective-area MOCVD (Metalorganic Chemical Vapor Deposition) of InGaAsP alloys is an indispensable technology for the monolithic integration of semiconductor infrared optical devices. By tailoring the shape of SiO2 masks on a substrate, we can locally modulate the bandgap of multiple quantum well (MQW) structure in a single substrate by a single growth. As the device integration proceeds, the interference between neighboring masks becomes significant. In order to take such complicated factors into account, we need the CAD tool for the mask design of selective area growth. The masks affects the enhancement of the growth rate and the change in the composition of crystal layers, which are determined by the ratio between the gas-phase diffusivity and the surface reaction rate constant of film-forming precursors. We have obtained the kinetic parameters that are necessary for simulating the effect of masks by experiments. We then implemented the model and the parameters into the numerical simulation to obtain the modulation of the growth rate and the composition brought about by masks. We further calculated the quantum energy levels in a MQW structure considering the effects of lattice stains. In this way, we simulated the shift of photoluminescence wavelength from the MQW with the increase of mask width. The results reproduced the experimental data precisely. The effect of facetted growth at the mask edges will be incorporated in the next step to improve the accuracy of the prediction. The simulation will be used to design of multi-bandgap devices.

5.As/P系および窒化物系化合物半導体のドライエッチング
Dry etching of As/P and nitride compound semiconductors
杉山正和・中野義昭・清水大雅・A. アルアミン・ C. カントゥーンキッティクル・大谷洋
M. SUGIYAMA, Y. NAKANO, H. SHIMIZU, A. AL AMIN, C. KUMTORNKITTIKUL, and H. OTANI

 半導体光デバイスには,低伝搬損失の導波路構造が必須である.塩素系ガスの高密度ICPプラズマを用い,垂直性に優れた高アスペクト比の導波路構造を形成することができた.これにより,InGaAsP/InPを用いた32チャネルアレイ導波路回折格子(AWG)を作製することに成功した.また,従来GaN/AlNの垂直かつ加工面の平坦なエッチングは困難とされていたが,エッチング条件を最適化することによりGaN/AlN超格子構造を含む導波路を形成することに成功した.今後,リソグラフィー手法の改善により,さらなる低損失化を目指す.

Semiconductor optical devices requires waveguide structures with low propagation loss. We used high density ICP plasma with Cl2-based chemistry and succeeded in fabricating waveguide structures with vertical sidewalls. This enabled us to fabricate a 32-channel arrayed waveguide grating (AWG) using InGaAsP and InP. In addition, although the etching of AlN and GaN with smooth and vertical sidewalls was regarded to be hard, we succeeded in the fabrication of a waveguide structure with GaN/AlN muitiple quantum wells. We will further reduce the propagation loss by optimizing the lithography process.